1
0
mirror of https://github.com/Llewellynvdm/conky.git synced 2024-09-29 21:49:07 +00:00

moving debug cflags below other stuff

git-svn-id: https://conky.svn.sourceforge.net/svnroot/conky/trunk/conky1@1061 7f574dfc-610e-0410-a909-a81674777703
This commit is contained in:
Brenden Matthews 2008-03-29 04:09:09 +00:00
parent fd2854c53e
commit de53544017

View File

@ -303,19 +303,6 @@ else
LIBS="$LIBS $LIBICONV"
fi
dnl
dnl debug
dnl
AC_ARG_ENABLE([debug],
AC_HELP_STRING([--enable-debug], [compile with debug symbols @<:@default=no@:>@]),
[want_debug="$enableval"], [want_debug=no])
if test "x$want_debug" = "xyes" -a $ac_cv_c_compiler_gnu != no; then
CFLAGS="$CFLAGS -g3 -Werror"
AC_DEFINE([DEBUG], [], [Define for debugging])
fi
dnl
dnl X11
dnl
@ -570,6 +557,21 @@ dnl
CFLAGS="$CFLAGS -Wall -W"
dnl
dnl debug
dnl
AC_ARG_ENABLE([debug],
AC_HELP_STRING([--enable-debug], [compile with debug symbols @<:@default=no@:>@]),
[want_debug="$enableval"], [want_debug=no])
if test "x$want_debug" = "xyes" -a $ac_cv_c_compiler_gnu != no; then
CFLAGS="$CFLAGS -g3 -Werror"
dnl for more 'extreme' testing
dnl CFLAGS="$CFLAGS -g3 -Werror -Wextra -Wunused -Wdeclaration-after-statement -Wundef -Wendif-labels -Wshadow -Wpointer-arith -Wbad-function-cast -Wcast-qual -Wcast-align -Wwrite-strings -Wstrict-prototypes -Wold-style-definition -Wmissing-prototypes -Winline -Wmissing-declarations -Wmissing-noreturn -Wmissing-format-attribute -Wredundant-decls -std=c99 -pedantic -g"
AC_DEFINE([DEBUG], [], [Define for debugging])
fi
AC_SUBST(CFLAGS)
AC_SUBST(X11_LIBS)